Exclusive: Review of Science and Technology Hotspots in 2024

Hotspots and trends in collaborative research of numerical simulation and multiphysics in 2024: A review

  • Bo PU , 1 ,
  • Wenchao CHEN 2 ,
  • Zhaofu ZHANG 3 ,
  • Zenghui CHEN 4 ,
  • Yi ZHAO 5 ,
  • Qinfen HAO , 6, 7, * ,
  • Ninghui SUN 7
Expand
  • 1. Ningbo DeTooLIC Technology, Co., Ltd., Ningbo 315800, China
  • 2. College of Information Science and Electronic Engineering, Zhejiang University, Hangzhou 310027, China
  • 3. The Institute of Technological Sciences, Wuhan University, Wuhan 430072, China
  • 4. PhySim Electronic Technology Co., Ltd., Shanghai 201306, China
  • 5. Zhuhai Silicon Chip Technology Ltd., Zhuhai 519060, China
  • 6. Wuxi Institute of Interconnect Technology, Wuxi 214104, China
  • 7. Institute of Computing Technology, Chinese Academy of Sciences, Beijing 100086, China

Received date: 2024-12-16

  Online published: 2025-02-10

Copyright

All rights reserved. Unauthorized reproduction is prohibited.

Cite this article

Bo PU , Wenchao CHEN , Zhaofu ZHANG , Zenghui CHEN , Yi ZHAO , Qinfen HAO , Ninghui SUN . Hotspots and trends in collaborative research of numerical simulation and multiphysics in 2024: A review[J]. Science & Technology Review, 2025 , 43(1) : 118 -131 . DOI: 10.3981/j.issn.1000-7857.2024.12.01753

1
集成芯片前沿技术科学基础专家组, 中国计算机学会集成电路/容错计算专业委员会. 集成芯片与芯粒技术白皮书[M]. 北京: 中国计算机学会, 2023.

2
Yu W , Cheng S C , Li Z Y , et al. The application of multiscale simulation in advanced electronic packaging[J]. Fundamental Research, 2024, 4 (6): 1442- 1454.

DOI

3
项少林, 郭茂, 蒲菠, 等. Chiplet技术发展现状[J]. 科技导报, 2023, 41 (19): 113- 131.

DOI

4
Kwon W , Yoo C , Jeon J . Electrothermal modeling of multinanosheet FETs with various layouts[J]. IEEE Transactions on Electron Devices, 2024, 71 (4): 2592- 2597.

DOI

5
Cai L L , Chen Y T , Zhang H Y , et al. Insight into electromigration reliability of buried power rail with alternative metal material[J]. IEEE Transactions on Electron Devices, 2024, 71 (1): 418- 424.

DOI

6
Pu B, Pak J S, Jo C, et al. Design of 2.5 D interposer in high bandwidth memory and through silicon via for high speed signal[EB/OL]. [2024-12-10]. https://doi.org/10.3622-7/techrxiv.12950261.v1.

7
Julien B, Fabrice F C D, Tadashi K, et al. Development of compression molding process for Fan-Out wafer level packaging[C]//Proceedings of IEEE 70th Electronic Components and Technology Conference (ECTC). Piscataway, NJ: IEEE, 2020: 1965-1972.

8
Pande N , Zhou C , Lin M H , et al. A 16 nm all-digital hardware monitor for evaluating electromigration effects in signal interconnects through bit-error-rate tracking[J]. IEEE Transactions on Device and Materials Reliability, 2022, 22 (2): 194- 204.

DOI

9
Bourjot E, Bond A, Nadi N, et al. Integration and process challenges of self assembly applied to die-to-wafer hybrid bonding[C]//Proceedings of IEEE 73rd Electronic Components and Technology Conference (ECTC). Piscataway, NJ: IEEE, 2023: 1397-1402.

10
Hao S G , Li H L . Effect of twin grain boundary on the diffusion of Cu in bulk β-Sn[J]. Computational Materials Science, 2023, 226: 112200.

DOI

11
Lee C C , Hsieh C P , Huang P C , et al. Performance characteristics of strained Ge p-FinFETs under the integration of lattice and self-heating stress enabled by process-oriented finite element simulation[J]. Applied Physics Express, 2021, 14 (3): 035504.

DOI

12
Liu R H , Li X J , Sun Y B , et al. Thermal coupling among channels and its DC modeling in sub-7-nm vertically stacked nanosheet gate-all-around transistor[J]. IEEE Transactions on Electron Devices, 2021, 68 (12): 6563- 6570.

DOI

13
Jia Y , Naceur H , Saadlaoui Y , et al. A comprehensive comparison of modeling strategies and simulation techniques applied in powder-based metallic additive manufacturing processes[J]. Journal of Manufacturing Processes, 2024, 110: 1- 29.

DOI

14
Lv Y , Ekaterinaris J . Recent progress on high-order discontinuous schemes for simulations of multiphase and multicomponent flows[J]. Progress in Aerospace Sciences, 2023, 140: 100929.

DOI

15
Sargent R G. Verification and validation of simulation models: An advanced tutorial[C]//Proceedings of Winter Simulation Conference (WSC). Piscataway, NJ: IEEE, 2020: 16-29.

16
Duan H L , Li E P , Huang Q Y , et al. Investigation of thermal stress effects on subthreshold conduction in nanoscale p-FinFET from Multiphysics perspective[J]. Journal of Applied Physics, 2024, 135 (10): 104502.

DOI

17
Liu Y Z , Li E P , Duan H L , et al. Multiphysics simulation of self-heating-induced thermal stress effects on quantum transport in gate-all-around nanosheet field effect transistors[J]. IEEE Transactions on Electron Devices, 2024, 71 (10): 5807- 5814.

DOI

18
Min Q , Li E P , Wang Y M , et al. Electrothermal effects on hot carrier injection reliability of n-type FinFETs in ring oscillators[J]. IEEE Transactions on Electron Devices, 2024, 71 (2): 1191- 1198.

DOI

19
Xu L Y , Liu J C , Shao C , et al. Performance limits exploration of sub-5 nm monolayer germanane transistors: A firstprinciple quantum transport simulation[J]. Journal of Applied Physics, 2024, 135 (13): 134303.

DOI

20
Kristensen T , Nilsson T M J , Divinyi A , et al. Dynamic thermal coupling in GaN MMIC power amplifiers[J]. IEEE Transactions on Microwave Theory and Techniques, 2025, 73 (1): 38- 44.

DOI

21
Li C Y , Zhang T C , Bao H G , et al. Electro-thermal simulation of GaN HEMT based on a scaling-factor-enhanced time-domain spectral element solver[J]. IEEE Transactions on Microwave Theory and Techniques, 2024, (99): 1- 9.

22
Luo X , Zhu H L , Zhang T D , et al. A 200-GHz GaNbased frequency doubler with bidirectional electro-thermal coupling method[J]. IEEE Microwave and Wireless Technology Letters, 2024, 34 (7): 931- 934.

DOI

23
Ma X N , Xu Q Z , Wang C H , et al. An electrical-thermal co-simulation model of chiplet heterogeneous integration systems[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2024, 32 (10): 1769- 1781.

DOI

24
Wang X L , Su J M , Chen D D , et al. Efficient thermalstress coupling design of chiplet-based system with coaxial TSV array[J]. Micromachines, 2023, 14 (8): 149.

25
Wang X L , Huang J , Chen D D , et al. Electro-thermalstress multiphysical field coupling optimization design for coaxial through silicon via array[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2024, 14 (11): 1978- 1986.

DOI

26
Li B , Tang M , Mao J F . An efficient LBFEM-POD scheme for transient thermomechanical simulation of electronic packages[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2024, 14 (8): 1403- 1412.

DOI

27
Li J , Tang M , Wu L S , et al. LB-ADI: An efficient method for transient thermal simulation of integrated chiplets and packages[J]. IEEE Journal on Multiscale and Multiphysics Computational Techniques, 2024, 9: 149- 156.

DOI

28
Sun P , Huang B H , Li K , et al. Coupled electrical-thermal-fluidic multi-physics analysis of through silicon via pin fin microchannel in the three-dimensional integrated circuit[J]. Journal of Electronic Packaging, 2024, 146 (1): 011005.

DOI

29
He W , Zhou J H , Chen X M , et al. Multi-field coupling in designing embedded microchannels for three-dimensional integrated chip: A topology optimization approach[J]. Applied Thermal Engineering, 2024, 248: 123218.

DOI

30
Tian L , Sha W , Xie H , et al. Carrier transport simulation methods for electronic devices with coexistence of quantum transport and diffusive transport[J]. Journal of Applied Physics, 2024, 135 (22): 225703.

DOI

31
Li W Q , Wang X D , Zheng R Q , et al. Finite element analysis of 2.5D packaging processes based on multi-physics field coupling for predicting the reliability of IC components[J]. Microelectronics Reliability, 2024, 163: 115530.

DOI

32
Zhang H H , Jia Z L , Zhang P F , et al. Electromagnetic-circuital-thermal-mechanical multiphysics numerical simulation method for microwave circuits[J]. IEEE Journal on Multiscale and Multiphysics Computational Techniques, 2024, 9: 129- 141.

DOI

33
Niu K K , Zhang W K , Li M Q , et al. Transient electromagnetic-thermal co-simulation of microwave/RF integrated circuits by the HIE-FDTD method[J]. IEEE Transactions on Microwave Theory and Techniques, 2024, 72 (9): 5228- 5244.

DOI

34
Guo Y Z , Huang P Z . A multi-phase-field model of void crossing grain boundary under electromigration-induced anisotropic surface diffusion in interconnects[J]. European Journal of Mechanics-A/Solids, 2024, 106: 105305.

DOI

35
Wu X W , Chen M Y , Ke L L . An electro-thermo-mechanical coupling phase-field model of defect evolution induced by electromigration in interconnects[J]. International Journal of Mechanical Sciences, 2025, 285: 109792.

DOI

36
Ceric H , Zahedmanesh H , Croes K , et al. Electromigrationinduced void evolution and failure of Cu/SiCN hybrid bonds[J]. Journal of Applied Physics, 2023, 133 (10): 105101.

DOI

37
Kim D , Nam K , Park C , et al. Impact of process sequence and device architecture on mechanical stress and electrical properties of 3-D nand flash[J]. IEEE Transactions on Electron Devices, 2024, 71 (9): 5354- 5360.

DOI

38
Ren H W , Zhao S Y , Mu J , et al. Research on the electrothermal-mechanical properties of IGBT modules under different bond wire failure modes[J]. IEEE Transactions on Electron Devices, 2024, 71 (7): 4259- 4266.

DOI

39
Mounce G, Lyke J, Horan S, et al. Chiplet based approach for heterogeneous processing and packaging architectures [C]//Proceedings of IEEE Aerospace Conference. Piscataway, NJ: IEEE, 2016: 1-12.

40
Tang S Q , Chen J S , Hu Y B , et al. Brief overview of the impact of thermal stress on the reliability of through silicon via: Analysis, characterization, and enhancement[J]. Materials Science in Semiconductor Processing, 2024, 183: 108745.

DOI

41
Harper J M E , Cabral C , Andricacos P C , et al. Mechanisms for microstructure evolution in electroplated copper thin films[J]. MRS Online Proceedings Library, 1999, 564 (1): 387- 392.

42
Kong L W , Lloyd J R , Yeap K B , et al. Applying X-ray microscopy and finite element modeling to identify the mechanism of stress-assisted void growth in through-silicon vias[J]. Journal of Applied Physics, 2011, 110 (5): 053502.

DOI

43
Chen S X, Zhang H Y, Ling Z C, et al. The survey of chiplet-based integrated architecture: An EDA perspective[EB/OL]. [2024-12-10]. https://arxiv.org/abs/2411.04410v1.

44
Lawrence K . Ansys tutorial release 2023: Structural & thermal analysis using the ANSYS mechanical APDL release 2023 environment[M]. Kansas City: SDC Publications, 2023.

45
Nolan D R , Lally C , McGarry J P . Understanding the deformation gradient in Abaqus and key guidelines for anisotropic hyperelastic user material subroutines (UMATs)[J]. Journal of the Mechanical Behavior of Biomedical Materials, 2022, 126: 104940.

DOI

46
COMSOL Multiphysics® v. 6.3[EB/OL]. [2024-12-13]. http//: www. comsol. com.

47
Ma X H , Wang Y , Wang Y J , et al. Survey on chiplets: Interface, interconnect and integration methodology[J]. CCF Transactions on High Performance Computing, 2022, 4 (1): 43- 52.

DOI

48
Wang Z F , Zhang J J , Xu Z W , et al. Crystal plasticity finite element modeling and simulation of diamond cutting of polycrystalline copper[J]. Journal of Manufacturing Processes, 2019, 38: 187- 195.

DOI

49
Liu S , Liu Y . Modeling and simulation for microelectronic packaging assembly: Manufacture, reliability, and testing[M]. Hoboken, NJ: Wiley, 2011.

50
Nie C J , Xu Q Z , Chen L . Equivalent thermal model of through silicon via and bump for advanced packaging of integrated circuits[J]. Microelectronics Reliability, 2022, 137: 114790.

DOI

51
Zhou M H , Li L , Hou F Z , et al. Thermal modeling of a chiplet-based packaging with a 2.5-D through-silicon via interposer[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12 (6): 956- 960.

DOI

52
John H Lau . Thermal stress and strain in microelectronics packaging[M]. Berlin: Springer Science & Business Media, 2012.

53
Rao X X , Song J H , Tian Q , et al. A universal and efficient equivalent modeling method for thermal analysis of 3D ICs containing tapered TSVs[J]. International Communications in Heat and Mass Transfer, 2022, 136: 106161.

DOI

54
Tang L , Kuang N L , Zhou X S . Determination of equivalent material properties of microsystem structure for structural simulation analysis[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2023, 13 (8): 1218- 1233.

DOI

55
Sun G L, Dai Y W, Qin F, et al. Warpage prediction of wafer-level interposer packaging using equivalent model[C]//Proceedings of 23rd International Conference on Electronic Packaging Technology (ICEPT). Piscataway, NJ: IEEE, 2022: 1-5.

56
Baek J W , Yang W S , Hur M J , et al. Representative volume element analysis for wafer-level warpage using Finite Element methods[J]. Materials Science in Semiconductor Processing, 2019, 91: 392- 398.

DOI

57
Tian W C , Dang H J , Li D X , et al. Reliability simulation analysis of TSV structure in silicon interposer under temperature cycling[J]. Micromachines, 2024, 15 (8): 986.

DOI

58
Wang T H, Feng H W, Li J Q, et al. Cross-scale reliability simulation of chiplet devices based on sub-modeling approach[C]//Proceedings of 25th International Conference on Electronic Packaging Technology (ICEPT). Piscataway, NJ: IEEE, 2024: 1-5.

59
Jiang T F, Ryu S K, Zhao Q, et al. Measurement and analysis of thermal stresses in 3-D integrated structures containing through-silicon-vias[C]//Proceedings of IEEE International Interconnect Technology Conference. Piscataway, NJ: IEEE, 2012: 1-3.

60
Ryu S K , Jiang T F , Lu K H , et al. Characterization of thermal stresses in through-silicon vias for three-dimensional interconnects by bending beam technique[J]. Applied Physics Letters, 2012, 100 (4): 041901.

DOI

61
Li X, Yin X K, Ma X Y, et al. Thermal cycling reliability analysis of 2.5D chiplet based on silicon interposer[C]//Proceedings of International Applied Computational Electromagnetics Society Symposium (ACES-China). Piscataway, NJ: IEEE, 2024: 1-3.

62
Liu K Y, Qin H Y, Guo J R, et al. Stress and fatigue life studies of solder joints in an advanced packaging with chiplet[C]//Proceedings of International Conference on Mechatronics, IoT and Industrial Informatics (ICMIII). Piscataway, NJ: IEEE, 2023: 187-191.

63
Kim Y , Park A Y , Kao C L , et al. Prediction of deformation during manufacturing processes of silicon interposer package with TSVs[J]. Microelectronics Reliability, 2016, 65: 234- 242.

DOI

64
Hao S D, Chu W S, Ho P S, et al. Analytical and finite element study on warpage and stress of 2.5D chip-package structures[C]//Proceedings of IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA). Piscataway, NJ: IEEE, 2021: 1-8.

65
Wang S H , Hsu W , Liou Y Y , et al. Layout dependence stress investigation in through glass via interposer architecture using a submodeling simulation technique and a factorial design approach[J]. Micromachines, 2023, 14 (8): 1506.

DOI

66
关潇男, 谢志辉, 南刚, 等. 3D堆叠芯片硅通孔的电-热-力耦合构形设计[J]. 半导体技术, 2021, 46 (8): 650- 657.

67
Zhou J Y, Wang Z, Wei C, et al. Three-dimensional simulation of effects of electro-thermo-mechanical multi-physical fields on Cu protrusion and performance of microbump joints in TSVs based high bandwidth memory (HBM) structures[C]//Proceedings of IEEE 70th Electronic Components and Technology Conference (ECTC). Piscataway, NJ: IEEE, 2020: 1659-1664.

68
Erbts P , Hartmann S , Düster A . A partitioned solution approach for electro-thermo-mechanical problems[J]. Archive of Applied Mechanics, 2015, 85 (8): 1075- 1101.

DOI

69
Sepúlveda-Ramos N E , Lee H P , Teng J W , et al. Assessing DC and RF reliability of SiGe HBTs stress-engineered using dummy BEOL layers[J]. IEEE Transactions on Electron Devices, 2024, 71 (10): 5919- 5924.

DOI

70
Tian L , Liu Y Z , Chen W C . Multiphysics simulation of chiplet integration process-induced stress effects on AC and DC quantum transport of FinFET from system technology co-optimization perspective[J]. IEEE Transactions on Electron Devices, 2024, 71 (12): 7294- 7301.

DOI

71
Duan H L , Li E P , Huang Q Y , et al. Multiphysics simulation study of thermal stress effects in nanoscale FinFETs heterogeneously integrated with GaN high-power device on silicon substrate[J]. Microelectronics Journal, 2024, 150: 106286.

DOI

72
蒲菠, 何秋森, 范峻. 时域热传导仿真方法及存储介质: CN202211032489.1[S]. 北京: 中国标准出版社, 2022.

73
Wu Q Y , Xu Y , Liu N , et al. Electrothermal transient cosimulation with domain decomposition method for 3-D complex integrated systems[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2024, 14 (8): 1374- 1383.

DOI

74
Zhi C L , Dong G , Yang D G , et al. Electrical and thermal characteristics optimization in interposer-based 2.5-D integrated circuits[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2024, (99): 1- 11.

75
Rheem N, Jeong J, Suh Y J, et al. First heterogeneous and monolithic 3D (HM3D) integration of InGaAs HEMTs and InP/InGaAs DHBTs on Si CMOS for next-generation wireless communication[C]//Proceedings of IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). Piscataway, NJ: IEEE, 2024: 1-2.

76
Jeong J , Kim S K , Suh Y J , et al. Thermal studies of 3-D stacked InGaAs HEMTs and mitigation strategy of selfheating effect using buried metal insertion[J]. IEEE Transactions on Electron Devices, 2024, 71 (8): 4517- 4523.

DOI

77
Do Nascimento V C, Hwang S, Smith M J, et al. Multiphysics-informed ML-assisted chiplet floorplanning for heterogeneous integration[C]//Proceedings of IEEE 33rd Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS). Piscataway, NJ: IEEE, 2024: 1-3.

78
Naeim M, Oprins H, Das S, et al. Thermal analysis of 3D stacking and BEOL technologies with functional partitioning of many-core RISC-V SoC[C]//Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI). Piscataway, NJ: IEEE, 2024: 33-38.

79
Choy J H, Moreau S, Brunet-Manquat C, et al. Warpage study by employing an advanced simulation methodology for assessing chip package interaction effects[C]//Proceedings of the 2024 International Symposium on Physical Design. New York: ACM, 2024: 85-90.

80
Muslu A M, Smet V, Joshi Y. Multi-physics modeling of a power electronics package with integrated cooling[C]//Proceedings of 27th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC). Piscataway, NJ: IEEE, 2021: 1-6.

Outlines

/